Skip to content

Commit 4aa9d8c

Browse files
committed
updated gtl_module_tpl.vhd
1 parent 1ecfd3e commit 4aa9d8c

File tree

3 files changed

+25
-8
lines changed

3 files changed

+25
-8
lines changed

CHANGELOG.md

Lines changed: 10 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -4,6 +4,16 @@ All notable changes to this project will be documented in this file.
44
The format is based on [Keep a Changelog](http://keepachangelog.com/)
55
and this project adheres to [Semantic Versioning](http://semver.org/).
66

7+
## [v1.32.0] - 2025-05-12
8+
### Comment
9+
10+
- updated gtl_module_tpl.vhd for Axo score output portsY
11+
12+
### Changed
13+
- source files:
14+
- ../packages/gt_mp7_core_pkg.vhd
15+
- ../payload/gtl_module_tpl.vhd
16+
717
## [v1.31.2] - 2025-04-14
818
### Comment
919

firmware/hdl/packages/gt_mp7_core_pkg.vhd

Lines changed: 8 additions & 7 deletions
Original file line numberDiff line numberDiff line change
@@ -4,12 +4,12 @@
44
-- actual versions:
55
-- use "FRAME_VERSION" as mp7_ugt release fw version (used for tag name).
66

7-
-- mp7_ugt (=FRAME_VERSION): v1.31.2
7+
-- mp7_ugt (=FRAME_VERSION): v1.32.0
88

99
-- use "GT_VERSION" as mp7_ugt release fw version (used for tag name).
10-
-- gt: v1.31.1
10+
-- gt: v1.32.0
1111
-- frame: v1.4.2 (see frame.vhd)
12-
-- gtl: v1.24.2 (see gtl_module_tpl.vhd)
12+
-- gtl: v1.25.0 (see gtl_module_tpl.vhd)
1313
-- fdl: v1.4.1 (see fdl_module.vhd)
1414

1515
-- HB 2024-09-04: v1.30.0 - Added vivado_fix_cells_tpl.tcl and constraints_fixed_cells.tcl to ../scripts.
@@ -37,6 +37,7 @@
3737
--
3838
-- gtl history:
3939

40+
-- HB 2025-05-12: v1.25.0: Added output ports for AXO score value, moved "algo_pipeline_p" to VHDL Producer template.
4041
-- HB 2025-04-14: v1.24.2: Added AXOL1TL new model v5 payload.
4142
-- HB 2025-03-21: v1.24.1: Added AXOL1TL model v5 payload.
4243
-- HB 2025-01-07: v1.24.0: Implemented AXOL1TL model v5.
@@ -107,8 +108,8 @@ package gt_mp7_core_pkg is
107108
-- ==================================================================================================
108109
-- GT firmware version
109110
constant GT_MAJOR_VERSION : integer range 0 to 255 := 1;
110-
constant GT_MINOR_VERSION : integer range 0 to 255 := 31;
111-
constant GT_REV_VERSION : integer range 0 to 255 := 2;
111+
constant GT_MINOR_VERSION : integer range 0 to 255 := 32;
112+
constant GT_REV_VERSION : integer range 0 to 255 := 0;
112113
constant GT_VERSION : std_logic_vector(31 downto 0) := X"00" &
113114
std_logic_vector(to_unsigned(GT_MAJOR_VERSION, 8)) &
114115
std_logic_vector(to_unsigned(GT_MINOR_VERSION, 8)) &
@@ -119,8 +120,8 @@ package gt_mp7_core_pkg is
119120
constant FRAME_REV_VERSION : integer range 0 to 255 := 2;
120121
-- GTL firmware (fix part) version
121122
constant GTL_FW_MAJOR_VERSION : integer range 0 to 255 := 1;
122-
constant GTL_FW_MINOR_VERSION : integer range 0 to 255 := 24;
123-
constant GTL_FW_REV_VERSION : integer range 0 to 255 := 2;
123+
constant GTL_FW_MINOR_VERSION : integer range 0 to 255 := 25;
124+
constant GTL_FW_REV_VERSION : integer range 0 to 255 := 0;
124125
-- FDL firmware version
125126
constant FDL_FW_MAJOR_VERSION : integer range 0 to 255 := 1;
126127
constant FDL_FW_MINOR_VERSION : integer range 0 to 255 := 4;

firmware/hdl/payload/gtl_module_tpl.vhd

Lines changed: 7 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -2,6 +2,7 @@
22
-- Global Trigger Logic module.
33

44
-- Version history:
5+
-- HB 2025-05-12: v1.25.0: Added output ports for AXO score value, moved "algo_pipeline_p" to VHDL Producer template.
56
-- HB 2025-04-14: v1.24.2: Added AXOL1TL new model v5 payload.
67
-- HB 2025-03-21: v1.24.1: Added AXOL1TL model v5 payload.
78
-- HB 2025-01-07: v1.24.0: Implemented AXOL1TL model v5.
@@ -73,7 +74,12 @@ entity gtl_module is
7374
port(
7475
lhc_clk : in std_logic;
7576
gtl_data : in gtl_data_record;
76-
algo_o : out std_logic_vector(NR_ALGOS-1 downto 0));
77+
algo_o : out std_logic_vector(NR_ALGOS-1 downto 0);
78+
axo_v1_score_o : out std_logic_vector(AXO_SCORE_WIDTH-1 downto 0) := "00"&"0000";
79+
axo_v3_score_o : out std_logic_vector(AXO_SCORE_WIDTH-1 downto 0) := "00"&"0000";
80+
axo_v4_score_o : out std_logic_vector(AXO_SCORE_WIDTH-1 downto 0) := "00"&"0000";
81+
axo_v5_score_o : out std_logic_vector(AXO_SCORE_WIDTH-1 downto 0) := "00"&"0000"
82+
);
7783
end gtl_module;
7884

7985
architecture rtl of gtl_module is

0 commit comments

Comments
 (0)